2024年3月23日發(作者:濱江公園在哪里)

`timescale 1ns/1ps
module compare_tb();
parameter CYCLE = 10;//100MHz
reg [7:0] a;
reg [7:0] b;
wire re1;//The output ports of the two modules are defined parately
wire re2;
wire reb1;
wire reb2;
wire eq1;
wire eq2;
initial begin
a = 8'h00;
b = 8'h00;
#(CYCLE*1000)
$stop;
end
always #(CYCLE*10) //two random numbers per 100ns
a={$random}%256;
always #(CYCLE*10) //two random numbers per 100ns
b={$random}%256;
compare1 U1(.a(a),
.b(b),
.re(re1),
.reb(reb1),
.eq(eq1));
compare2_ass U2(.a(a),
.b(b),
.re(re2),
.reb(reb2),
.eq(eq2));
endmodule
本文發布于:2024-03-23 15:49:54,感謝您對本站的認可!
本文鏈接:http://www.newhan.cn/zhishi/a/1711180194295376.html
版權聲明:本站內容均來自互聯網,僅供演示用,請勿用于商業和其他非法用途。如果侵犯了您的權益請與我們聯系,我們將在24小時內刪除。
本文word下載地址:用verilogHDL設計一個8位字節比較器,比較兩個輸入字節的大小,三個輸.doc
本文 PDF 下載地址:用verilogHDL設計一個8位字節比較器,比較兩個輸入字節的大小,三個輸.pdf
| 留言與評論(共有 0 條評論) |